Blog: How to Secure your IP with IP Encryption

David Clift

David Clift

FirstEDA


library ieee;
use ieee.std_logic_1164.all;


entity Dff is
port (
clk : in std_logic;
Rst: in Std_logic;
D : in std_logic;
Q : out std_logic;
Qn : out std_logic );
end entity Dff;


`protect begin


architecture RTL of DFF is
signal iQ : std_logic;
begin
process(Clk, Rst)
begin
if Rst = '1' then
iQ <= '0';
elsif rising_edge(clk) then
iQ <= D;
end if;
end process;
Q <= iQ;
Qn <= not iQ;
end RTL;
`protect end


library ieee;
use ieee.std_logic_1164.all;


entity Dff is
port (
clk : in std_logic;
Rst: in Std_logic;
D : in std_logic;
Q : out std_logic;
Qn : out std_logic
);
end entity Dff;
`protect begin_protected
`protect version = 1
`protect encrypt_agent= "Aldec protectip", encrypt_agent_info= "Riviera-PRO 2020.04.130"
`protect key_keyowner= "Aldec", key_keyname= "ALDEC15_001", key_method= "rsa"
`protect encoding= (enctype="base64", line_length= 76, bytes= 256)
`protect key_block
MLvqxdQeMCc01rfi0Y2j7oWlvoSJu/tugRz8nbQWjfc0s0ZloYEsXQEwleIyFxRAAb/sVstKyR0Q
K9UtsHEsHa1Y7g56QuUYBOdQpYG69dMqqet/N/+dEDb6bcAsdDDLrNEEzRVcqwRkKUzuLea4mKOP
8YL0J91Yw5ec2I3dbkwKeAIYR/gBcPA1KCwnL/iq5QbhYA8nleogWNaNLjjYIrgZ4iZBWxkSag5O
UexaHVHCMmb8mAafJMDHHvhflHgsWXKc8FPgmnksbUJJlc/uBMn/f8AtTRIUD2nFzb5u6DIh+fnV
oVcEPFaC4XIKiYoBeheGByVjSz3y4XrAmLPQBr==


`protect data_method= "aes128-cbc"
`protect encoding= (enctype="base64", line_length= 76, bytes= 288)
`protect data_block
GbzQ3trQGD4fRnAAjvYgUI0f6kvxp15Tpx1LSvqaTY7p+YbaHvM/q7Rc8KSqGWE3IjMuz6kKEGkN
OK/yniG0kw08DvsewTBaroCvJs3RO5goDaBgRjikIgPp7pW4D+Gr1JQ5iwjSvFeYZ31MSxIXIcaZ
GF43HCJN25DkIcBj/uwvqQO8aiTVouJLeTw6B27BSyWRxGYEePzVLzLjOovB1JU+SuXWueW6NUe7
2+DQ8zgHWUvshi1UM5V71S/WP1uqpM/VOAhpqrBqcOIQWFzUS35AFUxohL4KLPZJ5QO9hob78T8d
5UF4dg90O6AX0YfGO55YXHHFQGIspyYA6/RmSw1+WCxw7DIjrzuJre6akrg05Rj2k3K8oIjJNMOl
BUfc
`protect end_protected